您好,  [请登录] [QQ登录]  [支付宝登录[免费注册]

商品分类

分享到: 百度搜藏 搜狐微博 新浪微博 腾讯微博 QQ收藏 人人网 Facebook Twitter
   
查看大图

分享到: 转播到腾讯微博

Mars-EP1C3-S altera FPGA开发板(增强版)【北航博士店

  • 销售价: ¥580.00
购买数量:
  (库存985)

 

简介:    
   
主芯片采用Altera公司Cyclone系列FPGA芯片EP1C3T144;配置芯片:EPCS1;支持JTAG和AS下载模式;有源晶振:40MHZ ;复位芯片:stc811 
 
 销售价格: 580元 (不含税,含快递费)         
该价格为10套以内,单套的实际销售价格,请勿来电讨论价格,同时定购10套以上的用户,价格面谈。

主要特色:
1) 分主板和核心板两大部分,Mars-EDA-S 实验主板,一块主板,可以适配以下5种核心板,构成不同的CPLD、FPGA开发系统,灵活度高。
      Mars-3256-S Altera CPLD核心板
      Mars-1270-S Altera CPLD核心板
      Mars-EP1C3-S Altera FPGA核心板
      Mars-95144-S Xilinx CPLD核心板
      Mars-XC2S50-S Xilinx FPGA核心板
2) FPGA安排的实验例程丰富,
所有实验例程都有Verilog HDL、VHDL两种语言的源代码!!
3) 51单片机实验安排丰富,板上接口除了PS2、VGA接口没有单片机的实验,其他所有接口都配套有单片机单独控制的实验程序代码!!所有工程都基于Keil C,该开发板另一个增值部分就是它还是一个功能强大的51单片机开发板!!
4) 主板上多了功能扩展区,预留了47个IO供用户自由使用,在该扩展区,用户可以自定义各类功能扩展板,比如高速AD采集板、视频输入输出板、USB2.0高速扩展板等等。

 详细说明 :

硬件:
1. FPGA芯片EP1C3T144;
2. 配置芯片:EPCS1

3. 40M有源晶振,另配有用户自定义有源晶振座
4. AS、JTAG两种下载模式

5. 12个LED灯
6. 8位7段数码管
7. 16×2字符液晶接口
8. 128×64图形液晶接口
9. 8位拨码开关
10. 4×4矩阵键盘
11. STC89C52单片机 8K Flash存储,串口直接下载
12. IIC接口EEPROM:24C02
13. SPI接口EEPROM:93C64
14. 蜂鸣器
15. CPLD串口
16. 单片机串口
17. PS2接口
18. VGA接口
19. 功能扩展区 ( 用户可以自定义各类功能扩展板,比如高速AD采集板、视频输入输出板、USB2.0高速扩展板等等)


FPGA配套实验(所有例程都提供VHDL、Verilog HDL两种语言的完整源代码):

1.基础实验
1) 加法实验
2) 减法实验
3) 乘法实验
4) 除法实验
5) 四位比较器
6) 多路选择器
7) 优先编码器
8) 二进制到BCD码转换
9) 简单状态机
   这几个实验都比较简单,目的是帮助用户熟悉FPGA的基本开发流程和一些常用的、基础的数字电路。用户简单的看一下程序里面的说明就可以理解了这些实验了。
2.接口实验
1) 跑马灯实验:
跑马灯实验在FPGA中设计了计数器,利用计数器轮流向LED灯发出低电平,点亮LED灯,实现跑马灯的效果,用户可以自己设计更多图案的跑马灯。

2) 矩阵键盘实验
矩阵键盘实验:按一个键并在7段数码管上显示相应的键值。这个实现的主要目的是向用户介绍矩阵键盘扫描检测按键的原理。

3) 7段数码管实验
7段数码管测试实验:以动态扫描方式在8位数码管“同时”显示0—7,帮助用户了解数码管动态显示的方法。

4) 蜂鸣器实验
向蜂鸣器发送一定频率的方波可以使蜂鸣器发出相应的音调,该实验通过设计一个状态机和分频器使蜂鸣器发出“多来咪发梭拉西多”的音调。

5) 串口通讯实验
从PC传送一个0---F中其中一位,在7段数码管的一位上显示相应的值。按下开发板键盘上某个键回送Welcome!

6) 拨码开关实验
拨码开关8位0 1状态在8位7段数码管相应位上显示0或1。

7) IIC接口EEPROM存取实验
按动开发板键盘某个键FPGA将拨码开关的数据写入EEPROM的某个地址,按动另外一个键,将刚写入的数据读回CPLD,并在数码管上显示。帮助读者掌握I2C的总线协议和EEPROM的读写方法。

8) FPGA与单片机通讯实验

9) FPGA控制1602字符液晶显示实验

10) FPGA控制128×64图形液晶显示实验

11) FPGA控制PS2键盘实验

12) FPGA控制往VGA输出8色彩条实验

3 综合实验
1) 模拟交通灯实验
模拟路口的红黄绿交通灯的变化过程,用LED灯表示交通灯,并在数码管上显示当前状态剩余时间。

2) 数字时钟实验
利用数码管和FPGA设计的计数器实现一个数字时钟,可以显示小时,分钟,秒。程序主要要靠考虑十进制和六十进制计数器的编写。

以上实验例程都有Verilog、VHDL两种语言的源代码!所有实验例程都基于Quartus II 工程,实验的程序都在源代码中有详细的注释,帮助用户理解。各种器件的手册资料都包含在光盘中。

51单片机配套实验(所有例程都基于Keil C工程,并附带完整源代码):

1. LED流水灯实验
2. 矩阵键盘扫描实验
3. 8位7段数码管显示
4. 16*2字符液晶驱动显示实验
5. 128*64液晶驱动显示实验
6. 24C02/64的IIC接口操作实验
7. 93C46的Microwire接口操作实验
8. 音乐演奏实验
9. RS232接口实验
10. 数字时钟实验

销售清单
1. Mars-EDA-S 实验主板 一块
2. Mars-EP1C3-S FPGA核心板  一块
3. 配套光盘 一张(含开发手册、板子原理图、常用EDA软件) 
4. 串口线  一条
5. Altera ByteBlast II下载线  一条
6. 内正外负 5V  电源 一个

7. 16*2字符液晶模块  一块(选配件,需要的用户另加40元)
8. 128×64图形液晶模块(带汉字库) 一块(选配件,需要的用户另加120元)
9. 1号功能扩展板(含Cypress 68013A usb2.0高速芯片、128k高速SRAM、30M高速8位AD)
(选配件,需要的用户另加220元)

 销售价格: 580元 (不含税,含快递费)  含税另加5%           
该价格为10套以内,单套的实际销售价格,请勿来电讨论价格,同时定购10套以上的用户,价格面谈。

关于质保和技术支持:

质量问题,产品本身1个月保换,半年免费维修(CPLD/FPGA、单片机主芯片、液晶模块出厂均测试完好,不在质保之列)。 本开发板系统为3.3V系统,用户自己扩展功能模块时,请勿直接与5V系统对接!!

电话支持:
仅限帮助用户把产品配套的代码在开发板上跑起来,确认板子硬件有无问题。

用户开发的问题,例如开发环境如何安装使用、HDL语言语法等相关的问题,仅提供论坛交流,不做电话支持,请勿来电。

★博航嵌入式--品质值得信赖★
如果您对本商品有什么问题,请直接到 http://www.broadon.cn 咨询在线客服! 更新和价格也请到http://www.broadon.cn
如果您对本商品有什么评价或经验,欢迎分享!